低功耗CMOS电路设计:逻辑设计与CAD工具 电子书下载 PDF下载

低功耗CMOS电路设计:逻辑设计与CAD工具
内容简介

  《低功耗CMOS电路设计》着重叙述低功耗电路设计,第一部分概述低功耗电子技术和深亚微米下体硅sOI技术的进展、CMOS纳米技术中的漏电流及光互连技术等;第二部分阐述深亚微米设计模型、低功耗标准单元、低功耗超高速动态逻辑与运算电路,以及在结构、电路、器件的各个层面上的低功耗设计技术;第三部分主要针对CAD设计工具及低功耗设计流程进行阐述。本书的内容来自低功耗集成电路设计领域三十多位国际知名学者和专家的具体实践,包括学术界与工业界多年来的研究设计成果与经验,所介绍的技术可以直接应用于产品设计。
\n  《低功耗CMOS电路设计》可以作为微电子、电子科学与技术、集成电路等领域的研发、设计人员及工科院校相关专业师生的实用参考资料。

\n

Copyright © 2024 by topbester.com.
All Rights Reserved.
沪ICP备14027842号-1